X

VLSI Design Flow: RTL to GDS

By Prof. Sneh Saurabh   |   IIIT Delhi
Learners enrolled: 12082   |  Exam registration: 2720
ABOUT THE COURSE:
This course covers the entire RTL to GDS VLSI design flow, going through various stages of logic synthesis, verification, physical design, and testing. Besides covering the fundamentals of various design tasks, this course will develop skills in modern chip design with the help of activities and demonstrations on freely available CAD tools. This course will enhance the employability of the students and will make them ready to undertake careers in the semiconductor industry.

PREREQUISITES: Basic Course on Digital Circuits (typically taught in the first/second year of UG Program)

INDUSTRY SUPPORT: The course develops skills to use design automation tools for chip designing. The course will be valued by companies working on semiconductors, such as Qualcomm, Intel, Texas Instruments, NXP, ST Microelectronics, Micron, IBM, Cadence, Synopsys, Siemens, ARM, AMD, NVidia, Apple, and Google.
Summary
Course Status : Completed
Course Type : Elective
Duration : 12 weeks
Category :
  • Electrical, Electronics and Communications Engineering
  • VLSI design
Credit Points : 3
Level : Undergraduate/Postgraduate
Start Date : 24 Jul 2023
End Date : 13 Oct 2023
Enrollment Ends : 07 Aug 2023
Exam Registration Ends : 18 Aug 2023
Exam Date : 29 Oct 2023 IST

Note: This exam date is subjected to change based on seat availability. You can check final exam date on your hall ticket.


Page Visits



Course layout

Week 1: Basic Concepts of Integrated Circuit: Structure, Fabrication, Types, Design Styles, Designing vs. Fabrication, Economics, Figures of Merit Overview of VLSI Design Flow: Design Flows and Abstraction; Pre-RTL Methodologies: Hardware-software Partitioning, SoC Design, Intellectual Property (IP) Assembly, Behavioral Synthesis
Week 2: Overview of VLSI Design Flow: RTL to GDS Implementation: Logic Synthesis, Physical Design; Verification and Testing; Post-GDS Processes
Week 3: Hardware Modeling: Introduction to Verilog Functional verification using simulation: testbench, coverage, mechanism of simulation in Verilog
Week 4: RTL Synthesis: Verilog Constructs to Hardware Logic Optimization: Definitions, Two-level logic optimization
Week 5: Logic Optimization: Multi-level logic optimization, FSM Optimization Formal Verification: Introduction, Formal Engines: BDD, SAT Solver
Week 6: Formal Verification: Model Checking, Combinational Equivalence Checking Technology Library: Delay models of Combinational and Sequential Cells
Week 7: Static Timing Analysis: Synchronous Behavior, Timing Requirements, Timing Graph, Mechanism, Delay Calculation, Graph-based Analysis, Path-based Analysis, Accounting for Variations
Week 8: Constraints: Clock, I/O, Timing Exceptions Technology Mapping Timing-driven Optimizations
Week 9: Power Analysis, Power-driven Optimizations Design for Test: Basics and Fault Models, Scan Design Methodology
Week 10: Design for Test: ATPG, BIST Basic Concepts for Physical Design: IC Fabrication, FEOL, BEOL, Interconnects and Parasitics, Signal Integrity, Antenna Effect, LEF files
Week 11: Chip Planning: Partitioning, Floorplanning, Power Planning Placement: Global Placement, Wirelength Estimates, Legalization, Detailed Placement, Timing-driven Placement, Scan Cell Reordering, Spare Cell Placement
Week 12: Clock Tree Synthesis: Terminologies, Clock Distribution Networks, Clock Network Architectures, Useful Skews Routing: Global and Detailed, Optimizations Physical Verification: Extraction, LVS, ERC, DRC, ECO and Sign-off

Books and references

1.Sneh Saurabh, “Introduction to VLSI Design Flow”, Cambridge University Press, 2023 (expected) https://www.cambridge.org/highereducation/books/introduction-to-vlsi-design-flow/93E6832E63FE6B795181D6D67B552333#overview
2.M.J.S. Smith, “Application-specific integrated circuits”, Addison-Wesley, 1997
3.L. Lavagno, I. L. Markov, G. Martin, and L. K. Scheffer (Editors), “Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology”, CRC Press, 2016
4.S. Palnitkar, “Verilog HDL: a guide to digital design and synthesis”, Pearson Education India, 2003
5.J. Bhasker and R. Chadha, “Static timing analysis for nanometer designs: A practical approach”, Springer Science Business Media, 2009
6.G. D. Micheli, “Synthesis and optimization of digital circuits”, McGraw-Hill Higher Education, 1994
7.M. Bushnell and V. Agrawal, “Essentials of electronic testing for digital, memory and mixed-signal VLSI circuits”, Springer Science & Business Media, 2004

Instructor bio

Prof. Sneh Saurabh

IIIT Delhi
Prof.Sneh Saurabh obtained his Ph.D. from IIT Delhi in 2012 and B.Tech. (EE) from IIT Kharagpur in the year 2000. He has rich experience in the semiconductor industry, having spent 16 years working for industry leaders such as Cadence Design Systems, Synopsys India, and Magma Design Automation before joining IIIT Delhi in June 2016. He has been involved in developing some of the well-established industry-standard EDA tools for clock synchronization, constraints management, STA, formal verification, and physical design. He has taught VLSI-specific courses for over six years at IIIT Delhi, the most popular being VLSI Design Flow. His teaching has been rated excellent by students consistently, and he has received the Teaching Excellence award for seven consecutive semesters, three times for the course VLSI Design Flow. He holds three US patents and is the co-author of the book "Fundamentals of Tunnel Field-Effect Transistors." He is an Editor (IETE Technical Review), an Associate Editor (IEEE Access), a Review Editor (Frontiers in Electronics Integrated Circuits and VLSI), and a Senior Member of IEEE.

Course certificate

The course is free to enroll and learn from. But if you want a certificate, you have to register and write the proctored exam conducted by us in person at any of the designated exam centres.
The exam is optional for a fee of Rs 1000/- (Rupees one thousand only).
Date and Time of Exams: 29 October 2023 Morning session 9am to 12 noon; Afternoon Session 2pm to 5pm.
Registration url: Announcements will be made when the registration form is open for registrations.
The online registration form has to be filled and the certification exam fee needs to be paid. More details will be made available when the exam registration form is published. If there are any changes, it will be mentioned then.
Please check the form for more details on the cities where the exams will be held, the conditions you agree to when you fill the form etc.

CRITERIA TO GET A CERTIFICATE

Average assignment score = 25% of average of best 8 assignments out of the total 12 assignments given in the course.
Exam score = 75% of the proctored certification exam score out of 100

Final score = Average assignment score + Exam score

YOU WILL BE ELIGIBLE FOR A CERTIFICATE ONLY IF AVERAGE ASSIGNMENT SCORE >=10/25 AND EXAM SCORE >= 30/75. If one of the 2 criteria is not met, you will not get the certificate even if the Final score >= 40/100.

Certificate will have your name, photograph and the score in the final exam with the breakup.It will have the logos of NPTEL and IIIT Delhi .It will be e-verifiable at nptel.ac.in/noc.

Only the e-certificate will be made available. Hard copies will not be dispatched.

Once again, thanks for your interest in our online courses and certification. Happy learning.

- NPTEL team


MHRD logo Swayam logo

DOWNLOAD APP

Goto google play store

FOLLOW US